Research Article Current Issue Versions 3
Download
An Introduction on the IC Packaging Technology of FOPLP
: 2024 - 12 - 24
: 2025 - 04 - 07
159 12 0
Abstract & Keywords
Abstract: Based on the advantages of higher output efficiency, higher utilization, higher production, lower cost, no requirement for advanced process, higher device density, improved electrical performance and enhanced thermal management, there are many foreign manufacturers and domestic manufacturers all have developed the fan out panel level packaging (FOPLP) technology in the past ten years. The related technologies including die first & face down for FOPLP technology, die first & face up for FOPLP technology and RDL first for FOPLP technology have been developed. The challenges including die shift, panel warpage, RDL process capability, relayed equipment, and market share also need to be considered for a long time along with the developing of FOPLP technology. During the whole process of FOPLP packaging, organic materials including epoxy molding compound (EMC), dry film, photosensitive polyimide (PSPI) and photoresist (PR) were applied to guarantee the performance of packaged chips.
Keywords: FOPLP; die first; face up; face down; RDL first; die shift; panel warpage
1.   Introduction
Fan out panel level packaging (FOPLP) refers to the redistribution of semiconductor chips on large panels rather than the use of advanced packaging technology for individual packaging.[1-4] FOPLP can integrate multiple chips, passive components, and interconnections into one package, providing greater flexibility, scalability, and cost-effectiveness compared to traditional packaging methods.[5-7] Supported by emerging application scenarios, FOPLP technology is the best solution for automotive grade/chip production in power semiconductors, sensors, communications and other fields due to its high production capacity and cost advantages.[8-12] Electric vehicles continue to drive the demand for domestically produced automotive grade chips, which will promote the synchronous development of FOPLP technology.[13-16]
FOPLP technology is an advanced packaging technology with multiple advantages.[17] FOPLP technology utilized a larger substrate size and has significant advantages in area utilization.[18-19] The area utilization rate of FOPLP is as high as 95%, while the area utilization rate of FOWLP (Fan Out Wafer Level Packaging) is lower than 85%. In addition, FOPLP technology does not require more advanced processes and equipment, and also does not require more refined line/space width comparing with FOWLP technology.[20-23] FOPLP technology has a higher device density and can achieve more devices in the same area, improve the performance of the chip.[24-28] FOPLP technology can also improve electrical performance and enhance thermal management, thereby enhancing the reliability and stability of chips.[29-31] FOPLP technology also has broad application prospects.[32-34] Due to the fact that FOPLP technology can significantly reduce the costs and improve the performance of device comparing to traditional packaging, FOPLP technology is considered to be the best solution for applications in sensors, power ICs, radio frequency IC (RF IC), power management IC (PMIC) and so on.[35-36] In the automotive industry, approximately 66% of chips can be packaged by using FOPLP technology, which made the FOPLP technology to be an excellent solution for automotive chip packaging.[37-40] In addition, FOPLP technology can also be applied in fields such as smartphones, smart wearable devices and the Internet of Things.[41-44]
In this paper, the author introduced the development history of FOPLP technology, the process of FOPLP technology, the advantages and challenges of FOPLP technology, the organic materials used in FOPLP technology. For the development history of FOPLP technology, the foreign manufacturers including Samsung Electronics, Innolux Display Group, Powertech Technology, ASE group and Nepes Laweh all have developed FOPLP technology for many years and have accumulated many related packaging experiences and structures. The domestic manufacturers including Sipan Microelectronics (Chongqing) Co. Ltd., ECHINT Technology, Guangdong Fozhixin Microelectronics, Tianxin Interconnect and so on also have developed related panel packaging technology. For the process of FOPLP, the related technologies mainly included the die first & face down for FOPLP technology, the die first & face up for FOPLP technology and RDL first for FOPLP technology. For the advantages of FOPLP technology, which mainly had advantages including higher output efficiency, higher utilization, higher production, lower cost, no requirement for advanced process, higher device density, improved electrical performance and enhanced thermal management. For the challenges of FOPLP technology, those challenges included die shift, panel warpage, RDL process capability, relayed equipment, market shares and so on. The organic materials used in FOPLP technology which included epoxy molding compound (EMC), dry film, photosensitive polyimide (PSPI) and photoresist (PR) were also introduced in detail.
Table 1.   The overview of FOPLP encapsulation mode cooperation projects and progress.
ManufacturerCustomerApplicationPackaging sizeStatus
Powertech TechnologyMTKPMIC, RF515*510mmExisting
AMDPC, CPU515*510mmSmall scale
ASE groupQualcommPMIC, RF300*300mmSuspended
QualcommPMIC, RF600*600mmSmall scale
AMDPC, CPU600*600mmSmall scale
SPILNVIDIAAI GPU515*510mmUnder evaluation
TSMCNVIDIAAI GPU515*510mmUnder evaluation
AMDAI GPU515*510mmUnder evaluation
Innolux Display GroupNXPPMIC620*750mmBatch production
STMicroPMIC620*750mmUnder evaluation
Nepes Laweh----600*600mm--
SEMCOSamsungPMIC, AP510*415mmExisting
2.   The development of FOPLP technology
In currently, the IC packaging manufacturers have developed FOPLP technology, including Samsung Electronics, Nepes Laweh, Innolux Display Group, Powertech Technology, ASE group, SPIL and TSMC. The projects and progress of FOPLP encapsulation mode developed by various manufacturers were listed in Table 1. The four packaging manufactures including Powertech Technology, ASE group, Innolux Display Group and SMECO have all applied FOPLP technology in PMIC packaging, and the size of packaging panel reached 515x510mm, even 600x600mm. The SPIL and TSMC mostly applied FOPLP technology in the packaging of AI CPU., and the size of packaging panel also reached 515x510mm.
Samsung Electronics was the first manufacturer of FOPLP for realizing mass production. Samsung Electronics decided to establish a special working group and compete with TSMC's InFO-WLP (Fan Out Wafer Level Packaging) technology after failing to compete with TSMC for an Apple smartphone processor order in 2015. Samsung Electronics built the team group for strategically develop FOPLP technology based on its subsidiary Samsung Electric. Samsung Electronics acquired Samsung Electric's semiconductor fanout panel level business in 2019. Samsung Electronics transferred some old LCD display production lines into packaging factories to fully committed to developing FOPLP technology packaging based on its more advanced packaging than FOWLP. Based on the advantages that FOPLP was a technology for packaging on square substrate panels rather than circular substrates such as wafers, FOPLP had a higher competitiveness in cost than other packaging technology. The production line established in Tian'an, Chungcheongnam by Samsung Electric was applied in the processor packaging of smart watch Galaxy Watch. This is the first global mass production of FOPLP. Samsung Eletric initially used 510x415mm sized panels to manufacture FOPLP, and also developed panels up to 800x600mm. Samsung Electronics adhered to use plastic substrates by emphasizing their advantages of low cost, mature technology and flexibility, which was suitable for cost sensitive applications such as storage chips.[45] In the early stages, FOPLP mass production was achieved by using plastic substrate with facing the problem of thermal warping. While, Samsung Electronics has announced the investment in the research of glass substrate in 2024 and planed to launch commercial products for meeting the demanding of high-performance computing (HPC) and AI chips in 2026.[46]
Nepes Laweh is also a leading company in the system semiconductor industry with advanced fanout packaging solutions. Nepes Laweh began to develop the FOPLP technology since 2014, when it began to get mass production of FOWLP by integrating its subsidiary's TSP (touch screen panel) equipment technology. Based on the advanced packaging technology and subsidiary display technology, Nepes Laweh had achieved fanout packaging on 600mm large square panels in 2017. Nepes Laweh also acquired a fanout factory located in the Philippines and the latest fanout technology in 2019. Nepes Laweh have launched its first FOPLP business by using 600x600mm panels, which had a fully mass production in 2021. The architecture of FOLPL devices developed by Nepes Laweh was showed in Figure 1a.
Innolux Display Group has proposed an unprecedented concept named panel semiconductor for the first time. The advanced packaging technology that can highly integrate chips has become one of the important directions for the development of mass innovation in the cross-border semiconductor industry. Innolux Display Group had activated the existing G3.5 production line, with the glass panel size of 620mmx750mm, and the line width ranging from 2um to 10um. The panel size of FOPLP technology developed by Innolux Display Group was showed in Figure 1b. The panel size of FOPLP have developed included 300mmx300mm, 500mmx500mm, 600mmx600mm and 620mmx750mm. The packaging area of the panel with size of 620mmx750mm was 7 times of the packaging area of 300mm glass wafer. With the panel warpage being overcome, the FOPLP technology could provide a more competitive costs and creating greater profit value based on the advantages of accommodating more I/O numbers, smaller size, stronger efficiency, saving power consumption and so on. In 2017, Innolux Display Group had taken the lead in transforming low generation panel factories into FOPLP production lines for accumulating experience in panel level packaging. By utilizing panel manufacturing foundation, which can optimize carrier utilization rate (>95%) and reduce production costs obviously.[47]
Powertech Technology had always held a leading position in global packaging and testing services, and was also one of the leaders in the layout and mass production of FOPLP technology. In 2018, Powertech Technology built the first mass production line with using FOPLP technology in the field of advanced packaging in 2018, the related technology applied to MediaTek PMIC and audio transceivers successfully. Powertech Technology had provided four packaging structures in FOPLP technology, including CHIEFS solution based on chip first, CLIP solution based on chip last, PiFO solution based on chip middle, and Bump FreeBF2O. The structure of FOPLP devices developed by Powertech Technology was showed as Figure 1c.


Figure 1.   The architecture of FOPLP technology: (a) the architecture of FOPLP devices developed by Nepes Laweh, (b) the panel size of FOPLP technology developed by Innolux Display Group, (c) the structure of FOPLP devices developed by Powertech Technology.
ASE group is one of the earliest leading manufacturers to layout FOPLP technology. At the end of 2019, ASE had completed the construction of the production line, and the mass production was also carried out in 2020. In order to meet the integration needs of networks and artificial intelligence applications that require larger memory and computing power, ASE also launched the VIPack advanced packaging platform, for providing vertical interconnection integrated packaging solutions in 2022. VIPack is an advanced interconnect technology solution with 3D heterogeneous integration as the key technology, establishing a complete collaborative platform. ASE Group has actively invested in experimental production of FOPLP technology and plan to conduct trial production in 2025. FOPLP technology was plan to coexist and develop with chip on wafer on substrate (CoWoS) technology by covering different application scenarios (such as consumer electronics and AI servers).[48]
By placing bet on glass substrate, TSMC highlighted its high thermal stability and flatness, which can achieve tighter interconnect spacing and is suitable for high-performance chips (such as AI, HPC). With high yield and advanced OEM process, TSMC has occupied over 50% of the global OEM market share. Based on high barriers to entry of Glass substrate technology, TSMC has strengthened its leadership position in the high-end chip packaging market.[49]
Apart from those foreign manufacturers have developed FOPLP technology as mentioned above, there are also many domestic manufacturers already having mass-produced or having production capacity such as Sipan Microelectronics (Chongqing) Co., Ltd., ECHINT Technology, Guangdong Fozhixin Microelectronics, Tianxin Interconnect and so on. The Sipan Microelectronics (Chongqing) Co., Ltd. had engaged in panel level packaging business in 2018, and its FOPLP technology can effectively solve the problem of high cost of chiplet packaging and is more suitable for heterogeneous integration of power semiconductor packaging. ECHINT Technology is a leader manufacturer in the field of board level high-density packaging technology in China. This company have mainly engaged in board level system packaging and testing integrated circuit business with a board size of 510mm x 515mm. Guangdong Fozhixin Microelectronics have created an advanced circuit creation process (i-FOSATM) for semi additive fanout packaging by combining the advantages of existing semiconductor process equipment and back-end board process equipment. The i-FOSATM technology has the characteristics of advanced technology, reasonable cost, and supply chain security. It has also built the first domestic high-performance and cost-effective board level fanout packaging research line and demonstration line. Tianxin Interconnect is a wholly-owned subsidiary of Shennan Circuit and also has a Board Level FOPLP platform, which can provide customers semiconductor device module packaging solutions and semiconductor testing interface solutions with highly integrated and miniaturized.
At present, as one of the most important and rapidly developing industries, the new energy vehicles could also promote the synchronous development of FOPLP technology by benefiting from the increasing demand of domestically produced automotive grade chips coming from electric vehicles.[50] The advantages of FOPLP technology used in the field of new energy vehicles mainly include five aspects, which were listed as below. Firstly, the lower cost effectiveness. FOPLP technology has significant cost advantages compared to other packaging methods by encapsulating more chips in large panel formats. This technology can provide flexibility in handling various materials and sizes of panels, thereby improving productivity and output, while reducing ownership costs in large-scale manufacturing environments. Secondly, the more scalability and flexibility. FOPLP technology can support larger scale production and can adapt to panels of different materials and sizes, which could make the production process more flexible and able to meet the needs of different new energy vehicle manufacturers. Thirdly, the greater integration capability. FOPLP technology makes it possible for the integration of multiple chips and passive components within a single package, which is particularly important for high-power electronic devices in new energy vehicles based on the fact that it can significantly improve circuit integration and reduce overall system complexity and volume. Fourthly, the higher performance improvement. With the continuous advancement of technology, FOPLP has begun to be applied in fields such as high-performance computing and artificial intelligence, which means that battery management systems and other critical electronic devices can achieve higher performance in new energy vehicles, thus could enhance the overall performance and efficiency of the vehicle. Fifth, more mature supply chain development. With the increasing demand of FOPLP technology, the supply chain is gradually maturing. The shortage of initial equipment and materials is expected to be resolved, which will help to further reduce the production costs and improve production efficiency. In summary, FOPLP technology provides a cost-effective, flexible and high-performance packaging solution in the field of new energy vehicles, which is of great significance for promoting the development and popularization of new energy vehicle technology.[51]
In currently, universities and research institutions were mostly focus on the research of material and process optimization in the field of FOPLP technology, mainly included materials innovation, process simulation, heterogeneous integration and university-industry cooperation.[52] For the material innovation, low dielectric constant (Low-K) dielectric material and high thermal conductivity plastic encapsulation material were developed to reduce signal loss and solve heat dissipation problems, respectively. For the process simulation, panel warpage controlling was optimized by simulation techniques, such as using finite element analysis (FEA) to predict thermal stress distribution. For the heterogeneous integration, a few research was developed to explore the combination between photonic chips and FOPLP for improving the communication speed (such as silicon photonics integration). For the university-industry cooperation, a few major companies chose to collaborate with universities to new develop technologies. For example, Samsung Electronics has collaborated with universities to publish papers which was related to FOPLP technology for promoting a few technological breakthroughs in 2.5D packaging.


Figure 2.   The architecture of process flow for FOPLP technology with die first: (a) based on chip face down, (b) based on chip face up.
For the prediction of future technology roadmap, FOPLP technology may have great development in a few aspects, mainly including the expansion of panel size, development of 3D-FOPLP technology, material innovation used in FOPLP, automation and intelligence, the expansion of application scenario. For the expansion of panel size, FOPLP technology may develop from 300x300 millimeters to 600x600 millimeters or even larger, which could increase production capacity and reduce costs in obviously. For the development of 3D-FOPLP technology, it was required to further reduce line width and spacing to the sub micron level for promoting the development of 3D-FOPLP technology by achieving multi-layer stacking by combining hybrid bonding technology, which may break through the limitations of Moore's Law and applied to the application of HBM and AI chips.[53] For the material Innovation used in FOPLP, glass substrate may become the mainstream and metal substrates will be used in scenes for high-frequency. For the automation and intelligence, the AI algorithms may be introduced to optimize chip placement accuracy and reduce the manual intervention for improving yield rate of FOPLP technology. For the expansion of application scenario, FOPLP technology may extend to automotive grade (for high temperature resistance and high reliability) and optical communication fields from consumer electronics.
3.   The process of FOPLP technology
The process of FOPLP technology can be classified into two kinds based on the sequence of RDL and die placement during the process of FOPLP, namely die first and RDL first. The die first also can be divided into chip face up and chip face down. All the three FOPLP technology process were described as below.
3.1 Die first process of FOPLP technology
The architecture of process flow for FOPLP technology with die first based on chip face down was showed in Figure 2a. During this process, the back side thinned die with face down was attached on the surface of adhesive film which covered on the panel substrate. A molding process was introduced to form a protecting layer covering all the other five sides of chip (except the top side) with solid or liquid epoxy molding compound (EMC) material. The thickness of EMC was usually twice of the chips. After a curving process of EMC, a new panel sample with same size to the panel substrate was formed. The panel substrate and the adhesive film were all removed from the new panel sample. Two patterning passivation (PA) layers and two patterning re-distribution (RDL) layers were interleaving and overlapping with each other on the surface of the new panel sample. The number of the PA layer and RDL layer were usually all two, and the patterning RDL2 layer located on the surfaces of PA2 layer and connected with RDL1 layer through the opening area in PA2 layer. Finally, the solder ball was formed on the RDL2 layer and the new panel sample was sawed into chips.
The architecture of process flow for FOPLP technology with die first based on chip face up was showed in Figure 2b. During this process, the back side thinned die with a pre-connected copper (Cu) bump on its aluminum (Al) pad was attached on the panel substrate withing face up. A molding process was introduced to form an insulation layer with the chips all embedded in the EMC layer. The thickness of EMC layer was usually more than twice of the chips. After a curving process of EMC, a new panel sample with same size to the panel substrate was formed. Then the EMC was thinned to the appearance of the Cu bump which connected on the Al pad of chip. The substrate and the adhesive film were all removed from the back side of the new panel sample. Two patterning RDL layers and one patterning PA layer were interleaving and overlapping with each other on the surface of EMC layer, and the patterning RDL2 layer located on the surfaces of PA1 layer and connected with RDL1 layer through the opening area in PA1 layer. Finally, the solder ball was formed on the RDL2 layer and the new panel sample was sawed into chips.
3.2 RDL first process of FOPLP technology
The architecture of process flow for FOPLP technology with RDL first was showed in Figure 3. During this process, a patterning RDL was formed on the panel substrate, then the chip with a pre-connected Cu bump on its Al pad was connected to the patterning RDL on the panel substrate with flip chip technology. A molding process was introduced to form a protecting layer with the chips all been covered in the EMC layer. The thickness of EMC layer was usually twice of the chips. After a curving process of EMC, a new panel sample with same size to the panel substrate was formed. The substrate and the adhesive film were all removed from the back side of the new panel sample. The EMC layer acted as the PA1 layer and the RDL layer formed on the substrate acted as the RDL1 layer for the FOPLP device. Then another one PA layer and another one RDL layer were interleaving and overlapping with each other on the surface of EMC layer and RDL1 layer. The patterning RDL2 layer located on the surfaces of PA2 layer and connected with RDL1 layer through the opening area in PA2 layer. Finally, the solder ball was formed on the RDL2 layer and the new panel sample was sawed into chips.


Figure 3.   The architecture of process flow for FOPLP technology with RDL first:(a) RDL1 formation on panel, (b) die attaching on adhesive layer, (c) modeling, (d) remove panel, (e) PA1 formation, (f) RDL2 formation, (g) Sn ball planting.
3.3 Comparison between die first and RDL first process
Die first and RDL first were two core process flows for FOPLP technology, which could be suitable for different scenarios. The process flows of die first and RDL first were also described in the above parts. While, based on the difference between die first and RDL first, there are several comparative analysis including process flow, technical characteristics, applicable scenarios and technical challenges were described in the follow part.[54] First, for the comparison of process flow, the die first had a process flow in order of chip attachment, modeling, RDL fabrication, ball planting and cutting. While, the RDL first had a process flow in order of RDL fabrication, chip inversion, modeling and debonding, ball planting and cutting. Second, for the technical features and advantages, the die first had advantages of simple process and wide applicability. While, the yield challenge and design limitations were also the main limitations for die first process. For RDL first process, it had the advantages of high yield, high density interconnection and warpage controlling. RDL first process also had the limitations of complex process and thermal management challenge. Third, for the applicable scenarios, chips packaged in die first process were mainly focused on mid to low end applications, such as consumer electronics (PMIC, RF modules), which had high cost and design flexibility requirement. Die first process was also widely used in single chip packaging, which was suitable for scenarios with fewer pins and wider line width and spacing. While, chips packaged in RDL first process were mainly used in high end applications, such as server CPUs and AI accelerators, which was usually required with high-density interconnection and low signal latency. The multi chip heterogeneous integration (such as CPU+GPU+HBM) and vehicle grade chips (requiring high reliability and high temperature resistance) were also packaged in RDL first process. Fourth, for the technical challenges, the chip first process had the die shift (or chip offset) and warpage problem, which were mainly caused by the liquid flow and mismatch between coefficient of thermal expansion (CTE) during the encapsulation process. While, the RDL first process also had the technical challenges of material compatibility for matching CTE between low loss dielectric materials and highly conductive metals to reduce internal stress. The process complexity of high precision lithography and electroplating equipment are also required in RDL first process, resulting in a high manufacturing cost.
4.   The challenges of FOPLP technology
With providing greater flexibility, scalability, and cost-effectiveness compared to traditional packaging methods, FOPLP technology have become the best solution for automotive grade/chip production in power semiconductors, sensors, communications and other fields. For FOPLP technology, using square panels as packaging carriers had instead of using wafers as packaging carriers. These square carrier plates can be made of metal, glass, or polymer materials. Compared with fan out wafer level packaging (FOWLP) technology, FOPLP technology utilized a larger size of substrate had many advantages as follow. Firstly, FOPLP technology had a higher output efficiency. The FOPLP with a larger-sized interconnections could provide higher output efficiency, less material loss and large effective area than FOWLP technology. Secondly, FOPLP technology had a higher utilization. The FOPLP had an area utilization as high as 95%, which was much higher than the 85% of FOWLP. Thirdly, FOPLP technology had a higher production. The production based on a 300mm square glass carrier is 1.4 times of the production based on 12 inchs (300mm) wafer. The production based on a 600mm square substrate carrier is 5.7 times of the production based on 12 inchs (300mm) wafer. Fourthly, FOPLP technology had a lower cost. Related research institution showed that the cost of packaging can decrease 25% based on the wafer size increased from 8 inch to 12 inch, while the cost of packaging can also decrease 66% based on the substrate change from 12 inch to panel substrate. Fifthly, FOPLP technology had no need for advanced process. FOPLP had no need for introducing advanced processes and equipment and also had no requirement for fine line width/spacing at present. Sixthly, FOPLP technology had other advantages. FOPLP also had various performance advantages, such as higher device density, improved electrical performance, and enhanced thermal management. Along with the advantages of FOPLP technology, there are also many challenges accompanied, which were described as below. Compared to the mature size standardization, completeness of equipment and material processes of FOWLP, the FOPLP technology had faced many challenges in die shift, panel warpage, RDL process capability, relayed equipment and market share.
4.1 Die shift
In terms of size specification, the size of square plate has been always increasing. At present, the size of square plate included 300x300mm, 510mmx415mm, 515mmx510mm, 600mmx600mm, 615x625mm, 620mmx750mm, 700mmx700mm, 800x600mm, 800x800mm. Although a large size square plate had a lower cost, but a larger size square also caused substrate warpage easier, which will cause the accuracy and die shift, all those would all have an adverse effect on the yield issues. The die shift of dies caused during the modeling process had brought a very serious problem for the RDL distribution. The architecture of die shift forming during the modeling process was showed in Figure 4a. The EMC with a flowing state would generate impact forces on the die attached on the panel with adhesive film from all directions. The impact forces focused on the die were incommensurate, which caused die shift, thus leading a re-layout mapping for RDL layer covered on the surface of EMC layer.
For the root cause of die shift during the modeling process, there were main three reason including mechanical stress mismatch, influence of EMC flow and limitation of process accuracy. For the mechanical stress mismatch, there is a significant difference in the coefficient of thermal expansion (CTE) between chips and EMC, which generated internal stress during the process of high-temperature curing or cooling, resulting in a displacement of chip position. For the influence of EMC flow, it will exert dynamic fluid pressure on the chip during the filling process by EMC in liquid, which can drive chip displacement and resulting in a die shift. For the limitation of process accuracy, the insufficient accuracy of chip placement equipment can directly lead to initial position deviation and amplify the error in subsequent process.


Figure 4.   The architecture die shift for FOPLP technology: (a) the reason cause of die shift during the modeling process, (b) the distribution of chips in EMC layer without die shift, (c) the distribution of chips in EMC layer with die shift.
Meanwhile, every die caused a different die shift during the modeling process, which caused every chip also to need a specific first RDL layer distribution for meeting its electrical requirements. During the modeling process, the ideal situation for the distribution of chips was showed in Figure 4b, every die was still attached on the panel and packaged by EMC in a very orderly distribution, and die 1 to die 4 all had no die shift. While, die 11 to die 44 all had different die shift caused by impact forces of flowing EMC during the modeling process. As showed in Figure 4c, die 1 had a turning right shift in horizontal direction, the die 2 had a turning up shift in vertical direction, the die 3 had a rotating shift in clockwise. While, the die 4 had a modular die shift composed of die shift in vertical direction & vertical direction & rotating. In other words, dies embed in EMC layer had different die shifts during the modeling process.
For the various die shift caused during the modeling process, the first RDL layer covered on the EMC layer was a very complex distribution. To solve this thorny issue, an automated optical inspection (AOI) process was introduced to confirm the position of each pad on every die with various die shift, then a new RDL distribution mapping was created based on the AOI result. The RDL layer was formed following the new first RDL layer distribution mapping completely. As showed in Figure 5a, without any die shift, the first RDL layer distribution for each pad around the die was same completely, and the end point of first RDL layer distribution for each pad was fixed. While based on the various die shift caused during the modeling process, the AOI process and the new first RDL layer distribution mapping was introduced to cover the various die shift of every pad around die embedded in EMC layer. As showed in Figure 5b, without correction of die shift, the first RDL layer distribution for each pad around the die was various, and the end point of the first RDL layer distribution for each pad was random. As showed in Figure 5c, with a correction of die shift, the first RDL layer distribution for each pad around the die was various, but the end point of the first RDL layer distribution for each pad was also fixed. Compared with Figure 5a, the end point of the first RDL layer distribution for each pad as showed in Figure 5c was no changed, thus could guarantee the patterning of next passivation layer and RDL layer distribution follow the originally designed without any changed.


Figure 5.   The RDL distribution of chips based on FOPLP technology: (a) die shift without correction, (b) die shift with correction.
4.2 Panel warpage
For the FOWLP technology, the warpage of wafer occurred during packaging process due to the accumulation of thermal and mechanical stress, which could reduce the process accuracy of subsequent mask lithography and limits the improvement of rewiring layer density. For FOPLP technology, with the increasing of panel size, the warpage of panel was more significant than that of FOWLP technology, thus leading the packaging process being cut off during the process which needed a high flatness, such as the PVD and lithography processes. The stress generated by warpage was easily concentrated at the intermediate layer or solder joint, causing the solder ball to crack and fall off, and the intermediate layer to delaminate. For FOPLP technology, the main reasons for the panel warpage were widely recognized by the curing of EMC materials and the mismatch of coefficient of thermal expansion (CTE) of different materials. Besides, there were many other reasons been found that could also affect the panel warpage, those reason included the anisotropy of silicon, the viscoelastic relaxation effect of EMC, the process steps after curing, especially in processes with drastic temperature changes such as rewiring and ball planting, as well as gravity and other factors. The larger the panel size was, the more significant the warpage formed. With the application of large-sized panel in fan out panel level packaging, the warpage of panel had become a prominent issue restricting the development of FOWLP. In order to minimize the impact of panel warpage as much as possible, a planarization process was introduced followed by every curving process. The flatting effect can almost coverage the negative effect caused by the panel warpage.
For the root cause of panel warpage during the whole packaging process, there were main three reason including uneven distribution of thermal stress, material anisotropy and insufficient uniformity of the process. For the uneven distribution of thermal stress, the panel (such as glass or organic substrate) and modeling material will generate asymmetric thermal stress due to different CTE during high temperature process, resulting in overall deformation of the panel. For the material anisotropy, the residual stresses created after cooling process based on the dis-match between the mechanical properties of the panel (such as rigidity) and the flexibility of modeling material. For the insufficient uniformity of the process, uneven modeling thickness or curing rate of large size panels (such as 600x600mm) can also exacerbate part warping.
4.3 RDL process capability
In terms of RDL for FOPLP technology, the line and space width have currently reached 10um/10um, but there are also manufacturers ranging from 5um to 2um. There is a trend in the future to follow the same process as wafer level packaging, and may even break through the physical limitations of panel level packaging to 1um. If we can truly have a mass production of 5um-5um, the related technology will be sufficient to expand to more high-end applications with performance, heat dissipation, and efficiency requirements, especially the multi-chip and heterogeneous integration of active and passive devices. To achieve higher resolution in FOPLP, a high-density RDL with line and space width of 5um or less still needs to overcome technical challenges in the future. Large scale substrates faced various challenges in handling warped carriers such as glass, stainless steel, and polymers for precise movement. In the developing of FOPLP technology, how to achieve uniform etching rate and large-area electroplating uniformity on large-area substrates with line/space width ranging from 20um/20um to 15um/15um, and then to 10um/10um is still a huge challenge.
4.4 Innovative improvement methods for FOPLP challenges
As described in above three parts, there are three mainly challenges existed during the whole FOPLP technology including die shift, panel warpage and RDL process capability, which have impeded the rapid expansion of FOPLP technology in IC packaging industry. For solving those impediment of FOPLP technology, many efficiency strategies have been proposed.
As it was known that the die shift was mainly caused by a chip displacement, which was caused by the dynamic fluid pressure from the liquid EMC during the filling process of modeling. There are many technologies have been tried to reduce the die shift of chip during modeling process, and a few basic theoretical of the solution have been given out, such as optimization of material matching, process control technology and optimization of structural design. For the optimization of material matching, there are two strategies including developing EMC which had a CTE similar to chip and using low modulus modeling material to absorb stress through elastic deformation for reducing mechanical impact on chips. For the process control technology, the strategies of adaptive patterning and low temperature curing process are the mainly methods. For adaptive patterning technology, chip position can be corrected in real time through laser scanning and the offset trends of chip can also be predicted by combining with Al algorithms. For low temperature curing process, the stress accumulation caused by CTE differences can be retard obviously by reducing curing temperature of EMC. For the optimization of structural design, the common used method is by using temporary bonding adhesive to fix the chip and reduce interference from the flow of EMC during modeling process. For reducing the chip displacement and limiting the moving range of chip during the modeling process, J. Liu[55] has proposed three ideas for decreasing the die shift, including the groove type modeling structure, penetrating type modeling structure and photoresist cofferdam type packaging structure. As showed in Figure 6. For the groove type modeling structure, the related process was showed in Figure 6a. The panel with mult groove type structure was formed during modeling process by using a special molding mold. Then chips with adhesive film pasted on its backside was attached in the groove in panel. It must be noticed that the size of groove was a little larger than chip. Finally, a PA layer was by using dry film based on the vacuum pressing technology. Limited by the groove type structure of EMC, the chip will not caused a great die shift during the formation of PA layer. For the penetrating type modeling structure, the related process was showed in Figure 6b. The panel with mult penetrating type structure was formed during modeling process by using a special molding mold. Then the adhesive layer and panel with mult penetrating type structure were attached on a substrate sequential, which can also form mult new groove type structures. Chips were attached in the new groove type structures in panel. The size of penetrating type structure was also a little larger than chip. A PA layer was also formed by dry film based on the vacuum pressing technology. The chip will also get a little die shift during the formation of PA layer. For the photoresist cofferdam type packaging structure, the related process was showed in Figure 6c. The photoresist was used to form cofferdam on the substrate with adhesive film. Chips were attached in the cofferdam on substrate. The size of cofferdam was a little larger than chip, then a modeling process was introduced to form panel by forming EMC layer and also fix chips on substrate . After separating the adhesive film and substrate from EMC layer, the cofferdam structure forming by photoresist was also removed from the surface of EMC layer. Finally, a PA layer was formed by dry film based on the vacuum pressing technology. Being limited by the photoresist cofferdam, the chip can not get a great die shift during modeling process.


Figure 6.   The methods of decreasing of FOLP technology: (a) the groove type modeling structure,(b) the penetrating type modeling structure, (c) the photoresist cofferdam type packaging structure.
For reducing the influence of panel warpage, the basic theoretical of the solution mainly included material innovation, simulation and optimization of process, improvement of equipment and process. For the material innovation, there are two strategies of glass substrate replacing organic substrate and the introduction of high thermal conductivity modeling material. The CTE of glass (about 3ppm/℃) is closer to that of silicon (2.6ppm/℃), and it also has excellent thermal stability, which can all reduce the panel warpage significantly. By introducing aluminum nitride (AlN) or graphene filling materials could improve the efficiency of heat dissipation and reduce deformation caused by thermal gradients. For the simulation and optimization of process, finite element analysis (FEA) and designing symmetric structure are common methods to reduce the panel warpage. The FEA can predict the trend of panel warpage and optimize modeling parameters (such as temperature curves and pressure distributions) through thermal mechanical coupling simulation. Adopting a symmetrical chip layout in the panel layout can balance thermal stress distribution and reduce asymmetric deformation obviously. For the improvement of equipment and process, the effective methods for reducing panel warpage includes double sided modeling technology and progressive curing process. Applying modeling layer on both sides of panel in simultaneously can also counteract single-sided stress. Meanwhile, controlling the curing temperature and time in stages for releasing material stress gradually can also reduce panel warpage obviously.
For improving the RDL process capability of FOPLP technology, the core improving direction mainly included the upgrading of high-density cabling technology and the optimization of plating and etching processes. For the upgrading of high-density cabling technology, the RDL first process and hybrid lithography technology can all improve the RDL process capability. By prioritizing the formation of RDL layer on the carrier before attaching chips can support finer line/width distances (such as 5um/5um). Meanwhile, by combining stepper lithography equipment with laser direct writing equipment, it can solve the problem of decreased resolution at the edges of large-sized panel effectively, resulting in the uniformity of RDL line width at ±0.5um on panel of 600x600mm. For the the optimization of plating and etching processes, the cup type vertical plating technology developed by Manz Group could achieve the uniformity of plating large than 90% by multi zone anode zone designing. The application of dry film photoresist can reduce bubble defects and support copper pillar structures with higher aspect ratios. The concepts of emerging process and integrated solutions for improving the RDL process capability of FOPLP technology mainly included the fusion of heterogeneous integration technology and intelligent process control. The strategies of fusion of heterogeneous integration technology included the collaborative design of TSV+RDL and the integration of glass substrate. The optimization of AI dynamic parameter and the system of real time deformation compensation can all realize the control of intelligent process. For example, Huatian Technology had increased the yield of RDL lamination process from 75% to 92% by using machine learning to simulate the combinations of material thickness, curing time and other parameters.
5. The application of organic materials used in FOPLP technology
During the process of FOPLP packaging, many organic materials were used in the process of IC packaging. For example, the EMC was introduced to fix chips for forming panel sample in FOPLP technology, the dry film was introduced to form passivation layer by vacuum pressing technology for protect chips and RDL layers, the PSPI was also introduced to act as the passivation layer to guarantee the normal function of chips, the PR was introduced to help forming RDL. In this chapter, the author introduced the application of organic materials mentioned above in detailly.
5.1 The application of epoxy molding compound
EMC is a thermosetting chemical material with using in semiconductor packaging. The EMC refers to a type of polymer that contains two or more epoxy groups in its molecules, which main contains epoxy resin, hardener, silica, and other additives.[56] Epoxy resin was originally formed by the condensation of epichlorohydrin and bisphenol A, and now epoxy resin is usually formed by the low molecular weight diglycidyl ether of bisphenol A and various modifications, thus leading epoxy resin having various thermosetting structures and curing agent changes.[57] The global manufacturers of epoxy packaging materials for semiconductors mainly include Sumitomo Bakelite, Showa Denko, Panasonic, Kyocera, Shin Etsu Chemical, Chang Chun Group, KCC, Samsung SDI, Nagase ChemteX Corporation and Hysol Huawei Electronics.[58] The top ten global manufacturers hold approximately 63.0% of the market share in 2022.
The EMC is a thermosetting material composed of epoxy resin, curing agent, curing accelerator, filler and other modified components. Since the development of epoxy molding materials, many different types have been derived to meet different application requirements. According to the chemical structure of the epoxy resin used, the EMC can be divided into EOCN type, DCPD type, Biphenyl type and multi-Function type. According to the performance of the final material, epoxy molding materials can be divided into ordinary type, fast curing type, high thermal conductivity type, low stress type, low radiation type, low warpage type and no post curing type. Figure 7 showed the molecular structures of the main epoxy resins types used in epoxy molding compounds. Different types of epoxy molding compounds are suitable for different semiconductor packaging forms. We can characterize and measure the properties of EMC by using some physical parameters, such as gelation time, flow length, viscosity, flexural strength, flexural modulus, glass transition temperature (Tg), thermal expansion coefficient, water absorption, molding shrinkage, thermal conductivity, volume resistivity, dielectric constant, ion content, flame retardancy and so on. The EMC material usually had high mechanical and electrical properties, good coloring properties and excellent heat resistance. l properties, good coloring properties and excellent heat resistance. The performances of three typical EMC were listed in Table 2.


Figure 7.   The molecular structural of EOCN type, DCPD type, Biphenyl type and multi-Function type of EMC.
Table 2.   The performances of three typical EMC.
PerformancesEMC1EMC2EMC3
Solid Content858784
Filler typeSiO2SiO2SiO2
Filler size (um)757075
Length of spiral flow (cm)6695115
Gelation time (min)284050
Glass transition temperature (Tg)225195200
CTE1 (ppm)CTE2 (ppm)8
33
8
39
11
50
Bending modulus (GPa)212515
Bending strength (MPa)122185125
Thermal conductivity(W·m-1·K-1)111
Proportion1.951.991.90
Mold Shrinkage0.030.040.03
Flame retardancy (UL94)V-0V-0V-0
The key properties of EMC mainly include thermal performance, electrical performance, mechanical properties, chemical stability, liquidity and filling capacity, curing speed and reactivity. [59] For the thermal performance, it is necessary for EMC to have good thermal stability and be able to maintain its performance in high temperature environments. For example, there is no causing damage for chips or packaging materials due to excessive stress in lead-free reflow soldering at 260℃. For electrical performance, EMC should also have good electrical insulation and dielectric constant to prevent the electrical performance of chips from being affected by the external environment. For the mechanical property, it is required for EMC to have good bending strength and bending modulus to withstand the bending and pressure during the packaging process. Good adhesion and wire resistance are also necessary to ensure a strong bond between the chips and the packaging materials. For the chemical stability, EMC should have good water resistance and low water absorption to prevent electrical faults caused by moisture. For the liquidity and filling capacity, Epoxy sealant should have good fluidity, which could be able to evenly fill the mold and avoid voids or defects during the packaging process.[60] For the curing speed and reactivity, EMC should have a fast curing speed and good reactivity, which could guarantee that the curing process can be completed quickly during production.
The types of epoxy resins are mainly including bisphenol A-type epoxy resin (DGEBA), bisphenol F-type epoxy resin (DGEBF), phenolic epoxy resin (Novolac), brominated epoxy resin, cycloaliphatic epoxy resin, water based epoxy resin and modified epoxy resin.[61] The typical application cases of those epoxy resins mentioned above were listed as below. The DGEBA was usually used for the reinforcement of building structure (such as bridge repairing) based on its high adhesive strength (>20MPa) and mechanical properties, also with a curing shrinkage rate of only 1-2%. The extended application of DGEBA was used as electronic packaging substrates (such as PCB laminates), which could ensure signal transmission stability by utilizing its low dielectric loss. The DGEBF was usually used as ship anti-corrosion paint. By combining with polyamide curing agent, DGEBF could achieves a low-temperature (5℃) construction in wet surface. The Novolac was usually used in the preparation of high frequency and high-speed PCB substrate (such as 5G base station circuit board). The high cross-linking density of Novolac is contribute to a glass transition temperature (Tg) of over 170℃, and its heat resistance is superior to that of ordinary resins. The typical application cases of brominated epoxy resin was acted as the flame retardant cable sheath for data centers, which can suppress the arc propagation to ensure fire safety in the computer room under short-circuit conditions. For the cycloaliphatic epoxy resin, the typical application case was used as the packaging adhesive for outdoor LED, which was based on its increasing three times UV aging resistance determined by the structure without benzene ring. While, the typical application case of water based epoxy resin was used as floor coating for food factories. After solidification, the surface hardness of water based epoxy resin layer is greater than 4H, which can also be resistance for the repeatedly scrubbing of acid alkali cleaning agents. The application case for modified epoxy resin case mainly included the silicone modified type epoxy resin and polyurethane modified type epoxy resin, which were used as the structural adhesive for curtain walls of super high-rise buildings and the protective coating of wind turbine blade leading edge.
As a new introduced packaging material, the MEC prevented chips from being impacted by covering electronic components such as inductors, connectors and power supplies, as showed in Figure 8. More than 90% of electronic components were covered with EMC material based on the IC advanced packaging and the used EMC material usually required with low warping, low expansion, high filling and high thermal conductivity.


Figure 8.   The architecture of EMC used in FOPLP packaged chip.
Table 3.   The structural composition of photosensitive dry film.
No.Film nameFilm functionFilm thickness
1Polyethylene film (PE)Protective layer, isolating oxygen and avoiding mechanical scratches21um
2Photoresist filmEtching, electroplating, masking12~100um
3Polyester film (PET)Protective layer, isolate oxygen, avoid delamination and mechanical scratches15~19um
Table 4.   The main components and suppliers of photoresist film.
No.Composition of photosensitive layerMain componentsSuppliers
1Alkali soluble resinAcrylic resin, made by blending methyl methacrylate, butyl acrylate and ethyl acrylateMitsui Chemicals, Mitsubishi Chemical, Dow Chemical
2Photopolymer monomerGlycerol propoxylate, ethoxynonylphenol acrylateMitsubishi Chemical, MARUBENI Corporation
3PhotoinitiatorsN-phenylglucosamine, diphenyl-1,1-diimidazole, 4,4-bis (diethylamino) benzophenoneBASF, MARUBENI Corporation
5.2 The application of dry film
To solve the problems of irregular printing and bubbles causing a decrease in yield during liquid resin coating, controlling the thickness of conductor in free and the elimination of steps such as removing copper foil in the semi additive process, the dry film was introduced in IC packaging based on its advantages comparing to the liquid resin.[62] The dry film was usually covered on the wafer or panel by the vacuum pressing technology. Photosensitive dry film was usually introduced as passivation layer during the process of FOPLP technology, as showed in Figure 8. For the photosensitive dry film, it usually consists of polyethylene film (PE), photoresist film and polyester film (PET). The structural composition of photosensitive dry film was showed in Table 3. The PE film serves as the carrier for the photosensitive layer, used for coating mixed photosensitive materials into a film. The PET film is the protective layer of photosensitive dry film, mainly used to isolate oxygen, avoid delamination and mechanical scratches. Among the three parts, the photoresist film also known as the photosensitive layer, is the most important component of the photosensitive dry film, mainly composed of photosensitive materials for photolithography. The alkali soluble resin serves as a film-forming agent for photosensitive dry films, bonded the various components of the photosensitive adhesive to form a film and acted as a pseudo skeleton for corrosion resistance.[63]
The photopolymer monomer created polymerization reaction under ultraviolet light irradiation and generated a polymer, thus leading the photosensitive part does not fuse with the developing solution and forming a corrosion-resistant image.[64] During the exposure process, the photoinitiators absorb the energy of ultraviolet light to generate free radicals, which trigger crosslinking of photopolymerization monomers. After the initiated polymer monomer polymerization reaction occurs, a fine pattern with a resolution of 10-40um needs to be formed on the dry film, so the distribution ratio of each material component in the photosensitive layer is extremely high. For the alkali soluble resin, Cuihong Zhang[65] had reported an alkali-soluble polyimide resin, which was obtained by chemical reaction in a mixed solution with 4,4 '- diphenyl ether dianhydride (ODPA), 2,2-bis (3-amino-4-hydroxyphenyl) hexafluoropropane (BAHF), 1,3-bis (3-aminopropyl) tetramethyldisiloxane (SiDA) and 3-aminophenol (MAP), the synthetic route of alkali-soluble polyimide resin was showed in Figure 9. The main components and suppliers of photoresist film were showed in Table 4, the alkali soluble resin was usually made by blending methyl methacrylate and butyl acrylate and ethyl acrylate, and the suppliers included Mitsui Chemicals, Mitsubishi Chemical and Dow Chemical. The photopolymer monomer was usually made up of glycerol propoxylate, ethoxynonylphenol acrylate, and the suppliers included Mitsubishi Chemical and MARUBENI Corporation. The photoinitiators was usually made up of N-phenylglucosamine, diphenyl-1,1-diimidazole and 4,4-bis (diethylamino) benzophenone, the suppliers included BASF and MARUBENI Corporation.


Figure 9.   The synthetic route of alkali-soluble polyimide resin.
The typical dry film used in IC packaging mainly included the anti corrosion dry film, the high resolution photosensitive dry film, the mask dry film and the solder mask dry film, and the application case of those dry films were introduced as below.[66] For the anti corrosion dry film, which was mainly used as pad protecting layer in ball grid array (BGA) packaging and pin graphing layer in four sided flat package (QFN) packaging. When using as pad protecting layer in the packaging process of BGA, the anti corrosion dry film served as a corrosion-resistant layer to protect the copper layer in non solder areas, avoiding the penetration of plating solution during the formation of solder balls. When using as pin graphing layer in the packaging process of QFN, the pins of QFN without pins are transferred to high-precision patterns through anti corrosion dry film lithography technology and formed the bottom heat dissipation pads and electrodes. For the high resolution photosensitive dry film, which was usually introduced in the fabrication of micro bump in the packaging process of flip chip (FC) and the redistribution of line in FO packaging.[67] In the packaging process of FC technology, the high resolution photosensitive dry film is used for lithography to form micrometer sized copper pillar protrusions and achieve vertical interconnection between chip and substrate. The high flexibility of high resolution photosensitive dry film could also reduce the risk of graphic breakage after the development process during lithography. During the packaging process of FO technology, the high resolution photosensitive dry film is usually used to make redistribution layers (RDL), which could extend chip pins to a larger area on substrate. The typical application case of mask dry film mainly included acting as the hole protecting layer in through silicon via (TSV) packaging and the solder ball mask for chip scale packaging (CSP). The mask dry film was usually used as temporary protection layer of the inner wall in TSV hole to prevent plating solution from contaminating the silicon substrate in 3D stacked packaging. The mask dry film was also used as a mask to limit the position of solder balls and increase packaging density in CSP.[68] While, for the typical application case of solder mask dry film, it was usually included the insulation protection layer of automotive electronic module packaging and heat dissipation enhancing layer of power device packaging. When used as a packaging solder mask for engine control modules (ECUs), solder mask dry film was capable of withstanding high temperature and high humidity environments (85℃/85% RH). The solder mask dry film was also combined with metal substrate to optimize the heat dissipation path in IGBT module packaging, which could resist electrochemical migration and prolong device lifetime.
Table 5.   Global leading companies and market share in PSPI.
CountryNo.Company nameMarket share
Japan1Toray Industries, Inc.78%
2Fujifilm Electronic Materials5.7%
3Asahi Kasei Corporation-
4JSR Corporation-
America5HD Microsystems4.8%
South Korea6Kumho Petrochemical-
China7Eternal Materials-
Germany8Merck-
5.3 The application of photosensitive polyimide
During the process of FOPLP technology, the PA layer formed by organic material was usually introduced to cover on the RDL layer. The number of PA layer was usually more than one layer, which was decided by the technological requirements of FOPLP technology. With the development of technology, PSPI was usually used as PA layer in the FOPLP technology, as showed in Figure 8. Compared to traditional photoresists, PSPI had no requirement on the application of light blocking agents and could significantly reduce processing steps. Meanwhile, PSPI also played an important role as buffer coatings and radiation shielding materials and interlayer insulation materials. PSPI had two main applications of photoresist and electronic packaging. Compared to traditional photoresists, PSPI had no requirement on the application of light blocking agents and could significantly reduce processing steps. Meanwhile, PSPI also played an important role as electronic packaging adhesive, and PSPI was also used as a packaging material for buffer coatings, passivation layers and radiation shielding materials, interlayer insulation materials, chip packaging materials. PSPI were also widely used in the microelectronics industry, including packaging of integrated circuits and multi-chip packaging components. In currently, there are many companies have developed PSPI materials, such as Toray Industries, Inc., Fujifilm Electronic Materials, HD Microsystems, Kumho Petrochemical, Asahi Kasei Corporation, Eternal Materials, JSR Corporation and Merck. The global leading companies and market share in PSPI was listed in Table 5, the three biggest companies of PSPI in market share were Toray Industries, Inc., Fujifilm Electronic Materials and HD Microsystems, the values of their market share were 78%, 5.7% and 4.8% respectively. A few Chinese companies have also carried out PSPI related business, which included Jilin Optical and Electronic Materials Co., Ltd., Jiangsu Sunera Technology Co., Ltd. and Hubei Dinglong Co., Ltd.
Traditional positive photoresist is mainly composed of three parts: film-forming agent (linear phenolic resin), photosensitive agent and solvent, which have good photosensitivity. In the molecular design of positive PSPI, it is expected to become soluble in the exposed area, which can be washed away during the development process. Meanwhile, the un-exposed area can also form a patterning during the development process. For reaching this purpose, some functional groups such as carboxyl groups which can be dissolved in dilute alkaline solutions were usually introduced into PI. Some PI dissolution inhibitors that can be decomposed when exposed to light, such as diazonaquinone sulfonate compounds were also added into PI for the preparation of PSPI. PSPI photoresist can be classified into positive and negative photoresist based on the imaging mechanism of the photoresist sample. PSPI photoresist can also be classified into G-line/I-line/mixed line photoresist based on the exposure wavelength. Different types of PSPI photoresists have significant differences in resin selection, and are often subdivided into various types such as negative ester type PSPI, negative ion type of PSPI, positive diazonaphthoquinone (DNQ) type of PSPI, chemical amplification type of PSPI and so on, as showed in Figure 10. For the negative ester type PSPI, the photosensitive group was connected to the polyimide prepolymer with ester bonds, as showed in Figure 10a. For the negative ion type of PSPI, the PAA resin was obtained by reacting with organic amine compounds to form salts, as showed in Figure 10b. For positive DNQ type of PSPI, the ortho azide naphthoquinone group was introduced with side groups or directly added to impart photosensitivity, as showed in Figure 10c. For the chemical amplification type of PSPI, which was usually composed of photo induced acid generator (PAG, such as PTMA) and matrix resin (PAA), as showed in Figure 10d. Under the UV irradiation, the PAG can decompose into super strong acid, then catalyzing the decomposition or crosslinking reaction of matrix resin. Due to its recyclability, it has high efficiency and therefore has a chemical amplification effect.
In currently, PSPI has become a key material in IC advanced packaging due to its unique photosensitivity, high heat resistance, low dielectric constant and excellent mechanical properties.[69-70] For the typical application case of PSPI, there are mainly four aspects which were described as below. Firstly, PSPI was usually used as stress buffering and insulation layer in IC advanced packaging technology, such as BGA/CSP/wafer level packaging (WLP).[71] For example, the PSPI products developed by Bomi Technology are widely used as surface passivation layers and stress buffering layers in BGA packaging, CSP packaging and WLP packaging. PSPI usually had a few excellent characteristic such as high heat resistance (glass transition temperature ≥150℃), low shrinkage rate (curing shrinkage rate ≤0.5%) and high mechanical strength (shear strength ≥80MPa), which could meet the stringent requirements of high-frequency vibration and temperature cycling.[72-73] Secondly, PSPI was also used as high density interconnection in WLP packaging. For example, PSPI was used as an interlayer medium layer in 3D IC stack packaging to ensure the stability of high-density interconnection. Tongfu Microelectronics and other packaging enterprises also have achieved precise lithography of micrometer level circuits to support 2.5D/3D packaging technology requirements by using PSPI. Thirdly, PSPI was also used to meet the low temperature curing requirement of chips in 2.5D/3D packaging. The low temperature process compatibility of PSPI with a low curing temperature was compatible with traditional packaging materials (such as epoxy resin) processes, which can reduce energy consumption effectively.[74] Fourth, PSPI was also used in packaging process of optical communication modules and high-performance computing chips. When used as the packaging insulation layer for optical communication chips, its high insulation (volume resistivity ≥1×10¹⁶Ω· cm) and low moisture absorption (water absorption rate ≤0.5%) ensured the long-term reliability of optoelectronic devices. When used in packaging process of high bandwidth memory (HBM) and logic chips, the high thermal conductivity (≥1.5W/(m·K) of PSPI can reduce the thermal resistance and improve the heat dissipation efficiency of devices.


Figure 10.   The classification of the PSPI: (a) the negative ester type of PSPI, (b) the negative ion type of PSPI, (c) the positive diazonaquinone type of PSPI, (d) the chemical amplification type of PSPI.
5.4 The application of photoresist
Photoresist generally consists of four parts, including resin type polymer, photosensitive agent, solvent and additives. The resin type polymer is an inert polymer matrix used as an adhesive to bring together different materials in photoresist. The resin type polymer also gave the mechanical and chemical properties of photoresist, such as adhesion, flexibility and thermal stability. The resin type polymer is insensitive to light and does not undergo chemical changes after exposure with ultraviolet light. The photosensitive agent is a photosensitive component in photoresist, which could react with radiation energy in the form of light, especially in the ultraviolet region. The solvent keeps the photoresist in a liquid state for allowing it to be applied onto the silicon wafer substrate. The additives are used to control and alter specific chemical properties or photoresponsive characteristics of photoresist. At present, the core technology of semiconductor photoresist is basically monopolized by Japanese and American companies, including JSR, TOK, ROHMHAAS, ShinEtsu and FUJIFILM. The market share of photoresist in global for JSR, TOK, ROHMHAAS, ShinEtsu and FUJIFILM were 28%, 21%, 15%, 13% and 10%, respectively. The semiconductor photoresist has the highest technological content and is the most expensive material. According to the exposure wavelength, the semiconductor photoresist can be divided into five categories, the g-line (436nm), the i-line (365nm), the KrF photoresist (248nm), the ArF photoresist (193nm) and the EUV photoresist (13.5nm), as showed in Table 6. The ArF photoresist and EUV photoresist were mainly used for 12 inches wafer, and the g-line photoresist/i-line photoresist/KrF photoresist were mainly used for 6 inches or 8 inches wafer. Among them, ArF photoresist is the highest resolution semiconductor photoresist in currently. The g-line and i-line photoresist are currently the most widely used photoresist in the market.
Table 6.   The main type of photoresist used in semiconductor industry.
No.Photoresist typeExposure wavelengthApplied technology nodeMain application
1g-line photoresist436nm≥0.5um6 inches wafer
2i-line photoresist365nm0.35um~0.5um6 &8 inches wafer
3KrF photoresist248nm0.15um~0.25um8 inches wafer
4ArF photoresist193nm65~130nm12 inches wafer
5EUV photoresist13.5nm≤45nm12 inches wafer
Photoresist has played a crucial role in IC advanced packaging technologies, its high-precision patterning ability, material compatibility and process adaptability have become core technologies for improving packaging density and reliability. The typical application cases and characteristic analysis of photoresist used in IC advanced packaging were listed as below. Firstly, photoresist was used in the graphization of high-density RDL. For example, photoresist was used to fabricate fine lines for RDL in 2.5D/3D IC packaging, the high resolution of photoresist can guarantee the formation of micrometer level lines through photolithography process to meet high-density interconnect requirements. Meanwhile, the process compatibility of photoresist could support with multiple substrate materials (such as silicon interlayer and organic substrate) by compatible with heat curing or UV curing processes. Secondly, photoresist was used for the formation and precise positioning of solder bumps. In related patented technology, photoresist was used to define the position of solder bumps by two photolithography patterning processes (first photoresist patterning and second photoresist patterning), which could ensure the accuracy and consistency of solder filling and improve the packaging efficiency and reliability. Thirdly, photoresist was used in the preparation of three-dimensional optical structures (two-photon lithography). The utilizes photosensitive polymers (a type of photoresist) was used by two-photon lithography technology to fabricate three-dimensional waveguide structures in the packaging process of optoelectronic chip. Finally, photoresist was used in the optimization of grating couplers packaging technology. Photoresist was used for patterning the grating structure to achieve efficient coupling of optical signals in the grating packaging technology developed by Peking University Yangtze River Delta Institute of Optoelectronics, which had the characteristics of low loss designing and multi material compatibility.


Figure 11.   The process flow of photoresist used in FOPLP technology: (a) copper layer deposition on panel, (b) patterning PR formation on copper layer, (c) etching process on copper layer, (d) PR remove.
For the formation of RDL layer, the architecture of photoresist used in FOPLP technology was showed in Figure 11. The Cu layer without patterning was formed by a plating process on whole surface of wafer, then a lithography was taken out on the Cu layer with forming a patterning PR layer. A wet etching process was introduced to form the patterning Cu layer. During the wet etch process, the area without opening of the PR layer could prevent the below Cu layer form being removed, and the Cu layer under the area of PR layer with opening was removed. Finally, the pattering PR layer was removed for forming the patterning RDL layer.
5.5 Methods to guarantee the stability of organic packaging materials
As known, the requirements in current processes of IC packaging increase higher and more stringent standards, so how to ensure the stability of organic packaging materials will be a key issue in the future.[75-77] Combining multiple aspects such as production, transportation, storage and usage, we give a few suggestions to guarantee the stability of organic packaging materials, which are listed as below: First, it is recommended that material manufacturer can not replace the production sites, production equipment and pipelines as soon as possible. If it is necessary to change the production site due to objective reasons, it is necessary to report and communicate with the material customer. The customer needs to conduct relevant verification on the first few batches of organic packaging materials produced in the new site to ensure material stability (compared to materials produced in the old site). Second, material manufacturer needs to test the basic characteristics of organic packaging materials (such as viscosity, solid content, film-forming properties, modulus, tensile strength, mechanical strength and other parameters which customer is concerned about) after completing each batch of material production and before shipment. Third, organic packaging materials must be stored and transported strictly in accordance with relevant requirements (temperature, humidity, stacking method, packaging, light avoidance, etc.) during transportation. If any abnormal situations occur during transportation, they must be promptly counted and reported. Fourth, before receiving each batch of materials for storage, material customers must conduct a strict inspection of the material packaging (for any damage, dirt, etc.). During the storage process in the warehouse, the relevant conditions (temperature, humidity, stacking method, packaging, light avoidance, etc.) should be strictly set in accordance with relevant regulations. Finally, it is necessary to ask experienced employees to identify the basic characteristics of the materials (viscosity, fluidity, color, etc.) before using each batch of materials. The usage method must strictly follow the established operating method without any innovations.
6.   Conclusion
In summary, the development history of FOPLP technology, the process of FOPLP technology, the challenges of FOPLP technology and organic materials used in FOPLP technology were all introduced in this paper. Based on the advantages of higher output efficiency, higher utilization, higher production, lower cost, no requirement for advanced process, higher device density, improved electrical performance and enhanced thermal management, the FOPLP technology had been a widely used packaging method in the application of sensors, power ICs, RF IC, PMIC and other devices. There are many foreign manufacturers and domestic manufacturers all have developed the FOPLP technology in the past ten years. Many FOPLP technologies have been developed, including die first & face down for FOPLP technology, die first & face up for FOPLP technology and RDL first for FOPLP technology. While, FOPLP technology also faced may challenges, which included die shift, panel warpage, RDL process capability, relayed equipment, market share and so on. During the whole process of FOPLP packaging, the application of organic materials used in FOPLP technology including EMC, dry film, PSPI and PR were also introduced in detailed.
Acknowledgments
This work was supported by Scientific Research Foundation of Hubei University of Education for Talent Introduction (No. ESRC202400008).
[1] J. Chang, K. Best, J. Lu, al., “Adaptive Shot Technology to Address Severe Lithography Challenges for Advanced FOPLP,” 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), 918-923(2020).
[2] J. H. Lau, C.-T. Ko, C.-Y. Peng, al., “Chip-Last (RDL-First) Fan-Out Panel-Level Packaging (FOPLP) for Heterogeneous Integration,” Journal of Microelectronics and Electronic Packaging, 17 (3), 89-98(2020).
[3] J. H. Lau, “Recent advances and trends in fan-out wafer/panel-level packaging,” Journal of Electronic Packaging, 141 (4), 1-27(2019).
[4] J. Li, D. Shao and K. Ding, “Optimizing RDS(on) of Dual-Chip Power MOSFET by Fan-out Panel Level Packaging (FOPLP),” 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), 1-3(2022).
[5] T. Wang, H.-C. Lai, Y.-H. Chung, al., “Functional RDL of FOPLP by Using LTPS-TFT Technology for ESD protection Application,” 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), 25-30(2020).
[6] J. H. Lau, “Recent advances and trends in heterogeneous integrations,” Journal of Microelectronics and Electronic Packaging, 16 (2), 45-77(2019).
[7] S. Ikehira, “Novel Insulation Materials Suitable for FOWLP and FOPLP,” 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), 729-735(2021).
[8] J. H. Lau, M. Li, Y. Lei, al., “Reliability of fan-out wafer-level heterogeneous integration,” Journal of Microelectronics and Electronic Packaging, 15 (4), 148-162(2018).
[9] D. -H. Kim, J.-E. Lee, G. Choi, al., “Study of Reliable Via Structure for Fan Out Panel Level Package (FOPLP),” 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), 819-823(2022).
[10] K. -I. Mori, D. Shelion, Y. Goto, al., “Study of Submicron Patterning Exposure Tool for Fine 500 mm Panel Size FOPLP,” 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), 309-314(2020).
[11] J. H. Lau, “Recent advances and new trends in flip chip technology,” Journal of Electronic Packaging, 138 (3), 1-23(2016).
[12] M. -B. Izabela, K. -W. Anna, K. Malgorzata, al., “DMA analysis of the structure of crosslinked poly-(methyl methacrylate),” Acta of Bioengineering and Biomechanics, 19 (1), 47-53(2017).
[13] J. H. Lau, “3D IC heterogeneous integration by FOWLP,” Chip Scale Review, 22, 16-21(2018).
[14] J. Jiang, J. Huo, G. Song, al., “Research on FOPLP Package of Multi-chip Power Module,” 2020 IEEE 8th Electronics System-Integration Technology Conference (ESTC), 1-6(2020).
[15] C. -C. Lee, C. -W. Wang, C. -C. Lee, al., “Warpage Estimation of Heterogeneous Panel-Level Fan-Out Package with Fine Line RDL and Extreme Thin Laminated Substrate Considering Molding Characteristics,” 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), 1500-1504(2021).
[16] J. H. Lau and G. Y. Tang, “Effects of TSVs (through-silicon vias) on thermal performances of 3D IC integration system-in-package (SiP),” Journal of Microelectronics Reliability, 52 (11), 2660-2669(2012).
[17] Y. Park, B. -S. Kim, T. -H. Ko, al., “Analysis on Distortion of Fan-Out Panel Level Packages (FOPLP),” 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), 90-95(2021).
[18] G. Huang, Y. Dai, N. Liu, al., “A Low Cost 60GHz Antenna in Fan-Out Panel Level Package for Millimeter-wave Radar Application,” 2020 21st International Conference on Electronic Packaging Technology (ICEPT), 1-4(2020).
[19] J. H. Lau, P. Tzeng, C. Lee, al., “Redistribution layers (RDLs) for 2.5D/3D IC integration,” Journal of Microelectronic Packaging, 11 (1), 16-24(2014).
[20] J. H. Lau, “8 ways to make RDLs for FOW/PLP,” Chip Scale Review, 22, 11-19(2018).
[21] J. Fan, Y. Qian, J. Jiang, al., “Genetic Algorithm-Assisted Design of Redistribution Layer Vias for a Fan-Out Panel-Level SiC MOSFET Power Module Packaging,” 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), 260-265(2022).
[22] Z. Liang, D. Shao, K. Dingal., “Design and Analysis of MOSFET Based on Fan-out Panel-Level Package Technology,” 2021 22nd International Conference on Electronic Packaging Technology (ICEPT), 1-4(2021).
[23] Y. Li, C. Gao, J. Hu, al., “Research on the yield optimization scheme of ESD devices based on FOPLP packaging,” 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), 1-4(2022).
[24] J. H. Lau, M. Li, N. Fan, al., “Fan-out wafer-level packaging (FOWLP) of large chip with multiple redistribution layers (RDLs),” Journal of Microelectronics and Electronic Packaging, 14(4), 123-131(2017).
[25] J. Jiang, Y. Ren, C. Gao, al., “Research on PCT Reliability of Power Devices based on FOPLP,” 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), 1-6(2022).
[26] J. Gandhi, B Ang, T Lee, al., “2.5D FPGA-HBM Integration Challenges,” Advancing Microelectronics, 14(6), 12-16(2017).
[27] X. Zhang, J. K. Lin, S. Wickramanyaka, al., “Heterogeneous 2.5D Integration on through silicon interposer,” Applied Physics Reviews, 2, 1308-1315(2015).
[28] J. Jiang, C. Gao, J. Huo, al., “Research on Diode Product Reliability of FOPLP Based on PCB Process,” 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), 1-5(2022).
[29] X. Su, D. Yang, X. Li, al., “Warpage Analysis and Optimization of Fan-Out Panel-Level Packaging with Chip-Last Process,” 2022 23rd International Conference on Electronic Packaging Technology (ICEPT), 1-5(2022).
[30] J. A. Lim, B. Dunlap, S. Hong, al., “Package Reliability Evaluation of 600mm FOPLP with 6-Sided Die Protection with 0.35mm Ball Pitch,” 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), 828-835(2022).
[31] T. Braun, O. Hölck, M. Obst, al., “Panel Level Packaging-Where are the Technology Limits,” 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), 807-818(2022).
[32] S. Hou and T. Lin, “A Humidity-Sensitive Capacitor Based on Fan-Out Panel Level Package Technology,” 2021 22nd International Conference on Electronic Packaging Technology (ICEPT), 1-4(2021).
[33] C. -Y. Lin, C. -Y. Hsieh, Z. -J. Dai, al., “ESD Protection Design for Fan-Out Panel-Level Packaging,” 2022 International EOS/ESD Symposium on Design and System (IEDS), 1-5(2022).
[34] Y. Yu, S. Lee, J. Jeon, al., “Crazing of Photo-imageable Dielectric (PID) in Fan-Out Panel Level Packaging (FOPLP).,” 2023 IEEE 25th Electronics Packaging Technology Conference (EPTC), 896-900(2023).
[35] J. Chang, J. Lu and B. Ali, “Advanced Outlier Die Control Technology in Fan-Out Panel Level Packaging Using Feedforward Lithography,” 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), 72-77(2021).
[36] C. -C. Lee, J. -C. Chuang, S. Chiu, al., “Design and Validation of Reliability Physics for Interconnect Architectures Induced from Inclusive TM/SM/EM Effects," 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), 1849-1554(2020).
[37] C. -T. Liao, C. -T. Liao, B. Wu, al., “An Effective Uniformity Improvement for Fan-Out Panel Level Packaging Electroplating,” 2023 18th International Microsystems. Packaging, Assembly and Circuits Technology Conference (IMPACT), 38-41(2023).
[38] Y. Yu, J. Ha, M. Park, al., “Delamination between dielectric layers of FOPLP due to copper residue under high temperature storage conditions,” 2022 IEEE 24th Electronics Packaging Technology Conference (EPTC), 766-770(2022).
[39] Y. -K. Deng, B. -X. Yang, W. -L. Hu, al., “A Comprehensive Simulation Study of Warpage of Fan-out Panel-level Package using Element Birth and Death Technique,” 2021 22nd International Conference on Electronic Packaging Technology (ICEPT), 1-5(2021).
[40] K. Kim, S. Chae, J. Kim, al., “High Fluorescence Photosensitive Materials for AOI Inspection of Fan-Out Panel Level Package,” 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), 1265-1270(2022).
[41] C. -Y. Ho, Po-Yang and Hung, “Analysis of Panel Warping for multilayer RDL Process and Extraction of Dielectric Properties of Photosensitive Polyimide on Fan-out Panel Level Packaging,” 2023 18th International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT), 92-94(2023).
[42] Y. Kim, Y. Kim, Y. -Y. Jeon, al., “Fine RDL patterning technology for heterogeneous packages in fan-out panel level packaging,” 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), 717-722(2021).
[43] K. -I. Shinoda, D. Shelton, H. Suda, al., “Study of Submicron Panel-Level Packaging in Mass-Production,” 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), 2105-2110(2021).
[44] S. W. Liu, S. K. Panigrahy and K. N. Chiang, “Prediction of Fan-out Panel Level Warpage using Neural Network Model with Edge Detection Enhancement,” 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), 1626-1631(2020).
[45] H. Lee, S. K. Park, K. Jeong, al., “Development of Fine Pitch Backside Redistribution Layer (BRDL) Process in Fan Out Panel Level Packaging (FOPLP),” 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), 515-519(2023).
[46] C.W. Liang, Y.-C. Sung, S. -J. Hwang, al., “Fan-out panel-level package warpage and reliability analyses considering the fabrication process,” Journal of Manufacturing Processes, 119, 649-665(2024).
[47] P. -C. Shen, S. -F. Huang, P. -F. Yang, al., “Approach with Large Panel Fan-Out Technology,” 2024 International Conference on Electronics Packaging (ICEP), 175-176(2024).
[48] J. A. Lim, Y. -M. Park, E. D. Vera, al., “600mm Fan-Out Panel Level Packaging (FOPLP) As A Scale Up Alternative to 300mm Fan-Out Wafer Level Packaging (FOWLP) with 6-Sided Die Protection,” 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, 1063-1069(2021).
[49] Y. Yu, S. Park, M. Kim, al., “Corrosion of Aluminum Pad in Fan-Out Panel Level Packaging (FOPLP),” 2024 IEEE 26th Electronics Packaging Technology Conference (EPTC), 976-980(2024).
[50] C. -C. Lee, Y. -Y. Liou, P. -C. Huang, al., “Comprehensive Investigation on Warpage Management of FOPLP with Multi Embedded Ring Designs,” 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), 1413-1418(2019).
V. N. Sekhar, V. S. Rao, K. Yamamoto, al., “Evaluation of Materials for Fan-Out Panel Level Packaging (FOPLP) Applications,” 2018 IEEE 20th Electronics Packaging Technology Conference (EPTC), 93-97(2018).
[52] H. Hübner, C. Ohde and D. Ruess, “Upscaling panel size for Cu plating on FOPLP (Fan Out Panel Level Packaging) applications to reduce manufacturing cost,” International Symposium on Microelectronics, 1, 000037-000042(2018).
[53] T. Wang, C. W. Lu, E. Feng, al., “A Novel FOPLP Structure with Chip First & RDL First Process for Automotive chip application,” 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), 1868-1871(2024).
[54] E. Franieck, M. Fleischmann, O. Hölck, al., “Cure Kinetics Modeling of a High Glass Transition Temperature Epoxy Molding Compound (EMC) Based on Inline Dielectric Analysis,” Polymers, 13(11), 1734-1752(2021).
[55] J. Liu, “Method for effectively reducing chip offset in FOPLP,” Electronics&Packaging, 24 (12), 120202(2024).
[56] J. H. Baek, D. W. Park, G. H. Oh, al., “Effect of cure shrinkage of epoxy molding compound on warpage behavior of semiconductor package,” Materials Science in Semiconductor Processing, 148, 106758(2022).
[57] C. Lee, C. Lee and C. Chang, “Simulation methodology development of warpage estimation for epoxy molding compound under considerations of stress relaxation characteristics and curing conditions applied in semiconductor packaging,” Materials Science in Semiconductor Processing, 145, 106637(2022).
[58] J. Vogelwaid, F. Hampel, M. Bayer, al., “In Situ Monitoring of the Curing of Highly Filled Epoxy Molding Compounds: The Influence of Reaction Type and Silica Content on Cure Kinetic Models,” Polymers, 16(8), 1056-1081(2024).
[59] L. Duo, Z. Zhang, K. Zheng, al., “Perhydropolysilazane derived SiON interfacial layer for Cu/epoxy molding compound composite,” Surface and Coatings Technology, 391, 125703(2020).
[60] H. Jeong, K. H. Jung, C. J. Lee, al., “Effect of epoxy mold compound and package dimensions on the thermomechanical properties of a fan-out package,” Journal of Materials Science: Materials in Electronics, 31, 6835-6842(2020).
[61] K. Lee, Y. Jo, J. S. Nam, al., “Dry-film technology employing cryo-pulverized polytetrafluoroethylene binder for all-solid-state batteries,” Chemical Engineering Journal, 487, 150221(2024).
[62] P. T. Lee, C. Chang, C. Lee, al., “High-speed electrodeposition for Cu pillar fabrication and Cu pillar adhesion to an Ajinomoto build-up film (ABF),” Materials & Design, 206, 109830(2021).
[63] S. Ding, Z. Fang, Z. Yu, al., “Research progress of interfacial adhesion force of copper plating on Ajinomoto build-up films for chip substrates,” Materials Today Communication, 37, 107201(2023).
[64] P. C. Hsu, S. Chang, W. Lu, al., “Enhanced adhesion strength between electroplated Cu and ABF substrate with isothermal annealing treatment,” Surface and Coatings Technology, 479, 130576(2024).
[65] C. Zhang, B. Han, Y. Tang, al., “Preparation and properties of PI photosensitive dry film,” Engineering Plastics Application, 52(4), 29-34(2024).
[66] J. C. and A. S. Vikulina, “Layer-By-Layer Assemblies of Biopolymers: Build-Up, Mechanical Stability and Molecular Dynamics,” Polymers, 12(9), 1949-1978(2020).
[67] B. Meziane, P. Vergne, N. Devaux, al., “Film thickness build-up in zero entrainment velocity wide point contacts,” Tribology International, 141, 105897(2020).
[68] F. Wang, G. Zhu, X. Gong, al., “The impact of the flexibility of photosensitive polyimide backbone on the properties of liquid-crystal alignment under non-polarised ultraviolet light,” Liquid Crystals, 48(8), 1111-1119(2021).
[69] C. Yuan, F. Wang, G. Zhu, al., “Study on the effect of small molecule photosensitizer and photoinitiator on alignment behavior of photosensitive polyimide,” Liquid Crystals, 48(7), 1034-1042(2021).
[70] H. Yu, G. Zhu and Y. Wang, “Preparation of polyimide alignment films with high photosensitivity and low solid content,” Liquid Crystals, 48(4), 598-606(2021).
[71] T. Wang, J. Zhang, J. Li, al., “Aminoquinoline-functionalized fluorographene quantum dots for low-temperature curable and low-dielectric photosensitive polyimide nanocomposites,” Composites Communications, 38, 101469(2023).
[72] P. Ma, C. Dai, H. Wang, al., “A review on high temperature resistant polyimide films: Heterocyclic structures and nanocomposites,” Composites Communications, 16, 84-93(2019).
[73] H. Lee, D. Kim, S. H. Kim, al., “A water-borne photo-sensitive polyimide precursor for an eco-friendly process of preparing organic thin film transistors,” Journal of Materials Chemistry C, 11, 3459-3467(2023).
[74] J. Jiang, L. Keller and P. A. Kohl, “Low-Dielectric Constant Nanoporous Epoxy for Electronic Packaging,” Journal of Electronic Packaging, 142(1), 11006-11011(2020).
[75] W. Peng, H. Lei, L. Qiu,al., “Perfluorocyclobutyl-containing transparent polyimides with low dielectric constant and low dielectric loss,” Polymer Chemistry, 13, 3949-3955(2022).
[76] M. Z. Islam, Y. Fu, H. Deb, al., “Polymer-based low dielectric constant and loss materials for high-speed communication network: Dielectric constants and challenges,” European Polymer Journal, 200,112543(2023).
[77] Ji. Liu, “The application of organic materials used in IC advanced packaging: A review,” Memories - Materials, Devices, Circuits and Systems, 9, 100124(2025).
Article and author information
Jikang Liu
liujikang2021@163.com
Jikang Liu received his B.S. degree in 2014 from the Huazhong University of Science and Technology, China. He received his Ph.D. degree from Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, in 2019. From 2019 to 2021, he was employed as lithography engineer in Yangtze Memory Technologies Co., Ltd. From 2021 to 2023, he was employed as IC advanced packaging process engineer in Huatian Technology (Kunshan) Electronics Co., Ltd. From 2024, he is appointed as an instructor of Department of Physics and Mechanical & Electrical Engineering, Hubei University of Education, Hubei University of Education. His main research interests include IC packaging technology development (including FOPLP, FOWLP and FC), and IC packaging materials research (including EMC, underfill and temporary bonding adhesive).
Chenye Li
Chenye Li is a undergraduate in the major of Optoelectronic Information Science and Engineering, Department of Physics and Mechanical & Electrical Engineering, Hubei University of Education.
Jia Wei
Jia Wei is a undergraduate in the major of Optoelectronic Information Science and Engineering, Department of Physics and Mechanical & Electrical Engineering, Hubei University of Education.
Publication records
Published: May 10, 2025 (Versions3
References
Journal of Microelectronic Manufacturing